CNS.packedCard

Undocumented in source.
  1. enum P packedCard;
  2. enum P packedCard;
    struct CNS(P, U, size_t N, U unpackedCard, bool lexicographic, bool multiset, alias binomialCalculator = ae.utils.math.combinatorics)
    static
    static if(!(multiset))
    enum P packedCard;

Meta